aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue470/e.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue470/e.vhdl')
-rw-r--r--testsuite/gna/issue470/e.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/issue470/e.vhdl b/testsuite/gna/issue470/e.vhdl
new file mode 100644
index 000000000..fe1bb45be
--- /dev/null
+++ b/testsuite/gna/issue470/e.vhdl
@@ -0,0 +1,13 @@
+entity e is end entity;
+architecture h of e is
+ type p is protected
+ type t is range 0 to 2;
+ function m return integer;
+ end protected;
+ type p is protected body
+ function m return integer is begin return 123; end function;
+ end protected body;
+ shared variable v :p;
+begin
+ assert false report integer'image(v.m) severity note;
+end architecture;