aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue50/idct.d/mul_495.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue50/idct.d/mul_495.vhd')
-rw-r--r--testsuite/gna/issue50/idct.d/mul_495.vhd27
1 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/issue50/idct.d/mul_495.vhd b/testsuite/gna/issue50/idct.d/mul_495.vhd
new file mode 100644
index 000000000..b60c4c49f
--- /dev/null
+++ b/testsuite/gna/issue50/idct.d/mul_495.vhd
@@ -0,0 +1,27 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+library ieee;
+use ieee.numeric_std.all;
+
+entity mul_495 is
+ port (
+ result : out std_logic_vector(31 downto 0);
+ in_a : in std_logic_vector(31 downto 0);
+ in_b : in std_logic_vector(15 downto 0)
+ );
+end mul_495;
+
+architecture augh of mul_495 is
+
+ signal tmp_res : signed(47 downto 0);
+
+begin
+
+ -- The actual multiplication
+ tmp_res <= signed(in_a) * signed(in_b);
+
+ -- Set the output
+ result <= std_logic_vector(tmp_res(31 downto 0));
+
+end architecture;