aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue561/wishbone_pkg-2008.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue561/wishbone_pkg-2008.vhd')
-rw-r--r--testsuite/gna/issue561/wishbone_pkg-2008.vhd18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue561/wishbone_pkg-2008.vhd b/testsuite/gna/issue561/wishbone_pkg-2008.vhd
new file mode 100644
index 000000000..c4b5c1ef2
--- /dev/null
+++ b/testsuite/gna/issue561/wishbone_pkg-2008.vhd
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package wishbone_pkg is
+
+--./wb_demux_tb:internal error: waves.write_types: unhandled obj kind
+type t_wishbone_slave_in is record
+ dat : std_logic_vector;
+end record;
+
+
+--./wb_demux_tb:internal error: wave.create_type
+--type t_wishbone_master_out is record
+-- dat : std_logic_vector;
+--end record;
+--subtype t_wishbone_slave_in is t_wishbone_master_out;
+
+end wishbone_pkg;