aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue610/repro1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue610/repro1.vhdl')
-rw-r--r--testsuite/gna/issue610/repro1.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue610/repro1.vhdl b/testsuite/gna/issue610/repro1.vhdl
new file mode 100644
index 000000000..dfbc91f7d
--- /dev/null
+++ b/testsuite/gna/issue610/repro1.vhdl
@@ -0,0 +1,18 @@
+entity repro1 is
+ port (d : bit_vector := x"01");
+end repro1;
+
+
+architecture behav of repro1
+is
+ type t_bv_array is array (natural range <>) of bit_vector;
+begin
+ process
+ variable v : t_bv_array (0 to 0)(d'length - 1 downto 0);
+ begin
+ v(0) := d;
+ assert v(0)(0) = '1' severity failure;
+ assert v(0)(1) = '0' severity failure;
+ wait;
+ end process;
+end behav;