aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue610/repro3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue610/repro3.vhdl')
-rw-r--r--testsuite/gna/issue610/repro3.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue610/repro3.vhdl b/testsuite/gna/issue610/repro3.vhdl
new file mode 100644
index 000000000..dda5b8963
--- /dev/null
+++ b/testsuite/gna/issue610/repro3.vhdl
@@ -0,0 +1,17 @@
+entity repro3 is
+end repro3;
+
+architecture behav of repro3 is
+ procedure set (v : out string) is
+ begin
+ v := (others => ' ');
+ end set;
+begin
+ process
+ variable s : string (1 to 4);
+ begin
+ set (s);
+ assert s = " " severity failure;
+ wait;
+ end process;
+end behav;