aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue648/ice.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue648/ice.vhdl')
-rw-r--r--testsuite/gna/issue648/ice.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/issue648/ice.vhdl b/testsuite/gna/issue648/ice.vhdl
new file mode 100644
index 000000000..47b5d3bdd
--- /dev/null
+++ b/testsuite/gna/issue648/ice.vhdl
@@ -0,0 +1,11 @@
+entity e is end entity;
+library ieee;
+architecture h of e is
+ constant L :positive := integer(ieee.math_real.ceil(9.9));
+ signal s :bit_vector(31 downto 0);
+ alias a :bit_vector(L-1 downto 2) is s(L-1 downto 2);
+ signal b :bit_vector(L-1 downto 2);
+begin
+ a <= b;
+end architecture;
+