aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue683/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue683/repro.vhdl')
-rw-r--r--testsuite/gna/issue683/repro.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/issue683/repro.vhdl b/testsuite/gna/issue683/repro.vhdl
new file mode 100644
index 000000000..cdcc4dc5e
--- /dev/null
+++ b/testsuite/gna/issue683/repro.vhdl
@@ -0,0 +1,12 @@
+entity repro is
+end repro;
+
+architecture behav of repro is
+ function exp2 (b : integer) return integer is
+ begin
+ return 2**b;
+ end exp2;
+begin
+ assert exp2(3) = 8 severity failure;
+ assert exp2(31) > 0 severity failure;
+end behav;