aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue694/mixer_tb.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue694/mixer_tb.vhdl')
-rw-r--r--testsuite/gna/issue694/mixer_tb.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/issue694/mixer_tb.vhdl b/testsuite/gna/issue694/mixer_tb.vhdl
new file mode 100644
index 000000000..9a825b165
--- /dev/null
+++ b/testsuite/gna/issue694/mixer_tb.vhdl
@@ -0,0 +1,11 @@
+entity mixer_tb is
+end;
+
+use work.mixer_pkg.all;
+
+architecture behav of mixer_tb is
+ signal s : sample_array(0 to 127)(3 downto 0);
+begin
+ inst : entity work.mixer generic map (sample_bits => 4)
+ port map(i_samples => s);
+end behav;