aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue694/testsuite.sh
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue694/testsuite.sh')
-rwxr-xr-xtestsuite/gna/issue694/testsuite.sh11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/issue694/testsuite.sh b/testsuite/gna/issue694/testsuite.sh
new file mode 100755
index 000000000..8912b7be0
--- /dev/null
+++ b/testsuite/gna/issue694/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze mixer_pkg.vhdl mixer.vhdl mixer_tb.vhdl
+elab_simulate mixer_tb
+
+clean
+
+echo "Test successful"