aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/003errors/tc.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/lsp/003errors/tc.vhdl')
-rw-r--r--testsuite/pyunit/lsp/003errors/tc.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/pyunit/lsp/003errors/tc.vhdl b/testsuite/pyunit/lsp/003errors/tc.vhdl
new file mode 100644
index 000000000..6308aeb6f
--- /dev/null
+++ b/testsuite/pyunit/lsp/003errors/tc.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_unsigned.all;
+
+entity tb is
+end tb;
+
+architecture behav of tb is
+ signal s : std_logic_vector(7 downto 0);
+begin
+ assert s /= x"73";
+end behav;