diff options
Diffstat (limited to 'testsuite/synth/dff01/dff04.vhdl')
-rw-r--r-- | testsuite/synth/dff01/dff04.vhdl | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/synth/dff01/dff04.vhdl b/testsuite/synth/dff01/dff04.vhdl index 9e7e60478..29ea5fee0 100644 --- a/testsuite/synth/dff01/dff04.vhdl +++ b/testsuite/synth/dff01/dff04.vhdl @@ -11,7 +11,7 @@ end dff04; architecture behav of dff04 is signal q : std_logic_vector(7 downto 0); begin - process (clk) is + process (clk, q) is begin if rising_edge (clk) then q <= d; |