diff options
Diffstat (limited to 'testsuite/synth/func01/func05.vhdl')
-rw-r--r-- | testsuite/synth/func01/func05.vhdl | 22 |
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/func01/func05.vhdl b/testsuite/synth/func01/func05.vhdl new file mode 100644 index 000000000..e282b24a6 --- /dev/null +++ b/testsuite/synth/func01/func05.vhdl @@ -0,0 +1,22 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity func05 is + port (s : natural; + r : out std_logic_vector (15 downto 0)); +end func05; + +architecture behav of func05 is + function mapv (sel : natural) return std_logic_vector + is + variable res : std_logic_vector(15 downto 0) := (others => '0'); + begin + if sel = 2 then + res := x"1234"; + end if; + return res; + end mapv; +begin + r <= mapv (s); +end behav; + |