aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1428/rec2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1428/rec2.vhdl')
-rw-r--r--testsuite/synth/issue1428/rec2.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/issue1428/rec2.vhdl b/testsuite/synth/issue1428/rec2.vhdl
new file mode 100644
index 000000000..7e76664d7
--- /dev/null
+++ b/testsuite/synth/issue1428/rec2.vhdl
@@ -0,0 +1,23 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity rec2 is
+ port (a : out std_logic;
+ b : std_logic_vector(7 downto 0));
+end;
+
+architecture behav of rec2 is
+ type my_rec is record
+ a : std_logic;
+ b : std_logic_vector(7 downto 0);
+ end record;
+
+ signal s : my_rec;
+begin
+ s.b <= b;
+ a <= s.a;
+
+ s.a <= '1' when s.b /= x"00" else '0';
+
+ s.b (1) <= '0';
+end behav;