aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2011/tb_testcase.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2011/tb_testcase.vhdl')
-rw-r--r--testsuite/synth/issue2011/tb_testcase.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/synth/issue2011/tb_testcase.vhdl b/testsuite/synth/issue2011/tb_testcase.vhdl
new file mode 100644
index 000000000..38c879450
--- /dev/null
+++ b/testsuite/synth/issue2011/tb_testcase.vhdl
@@ -0,0 +1,25 @@
+entity tb_testcase is
+end tb_testcase;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_testcase is
+ signal sel : std_ulogic_vector(3 downto 0);
+ signal result : std_ulogic_vector(63 downto 0);
+begin
+ dut: entity work.testcase
+ port map (sel, result);
+
+ process
+ begin
+ sel <= "0000";
+ wait for 1 ns;
+ assert result = x"00000000_00000000";
+
+ sel <= "1101";
+ wait for 1 ns;
+ assert result = x"ffffffff_00000000";
+ wait;
+ end process;
+end behav;