aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue662/psl_onehot.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue662/psl_onehot.vhdl')
-rw-r--r--testsuite/synth/issue662/psl_onehot.vhdl6
1 files changed, 1 insertions, 5 deletions
diff --git a/testsuite/synth/issue662/psl_onehot.vhdl b/testsuite/synth/issue662/psl_onehot.vhdl
index feaa784df..97753a17b 100644
--- a/testsuite/synth/issue662/psl_onehot.vhdl
+++ b/testsuite/synth/issue662/psl_onehot.vhdl
@@ -4,8 +4,7 @@ use ieee.numeric_std.all;
entity psl_onehot is
port (clk : in std_logic;
- a, b : in std_logic_vector(3 downto 0);
- c : in natural range 0 to 15
+ a, b : in std_logic_vector(3 downto 0)
);
end entity psl_onehot;
@@ -22,7 +21,4 @@ begin
-- This assertion fails at cycle 12
ONEHOT_1_a : assert always onehot(b);
- -- This assertion fails at cycle 12
- ONEHOT_2_a : assert always onehot(c);
-
end architecture psl;