diff options
Diffstat (limited to 'testsuite/synth/issue8/test2.vhdl')
-rw-r--r-- | testsuite/synth/issue8/test2.vhdl | 15 |
1 files changed, 0 insertions, 15 deletions
diff --git a/testsuite/synth/issue8/test2.vhdl b/testsuite/synth/issue8/test2.vhdl deleted file mode 100644 index dca1601bb..000000000 --- a/testsuite/synth/issue8/test2.vhdl +++ /dev/null @@ -1,15 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; - -entity test2 is - port (led: out std_logic_vector (7 downto 0)); -end test2; - -architecture synth of test2 is - -begin - led(7) <= '0'; - led(6) <= '1'; --- led(5) <= '0'; --- led(3 downto 0) <= x"9"; -end synth; |