aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue8/test5.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue8/test5.vhdl')
-rw-r--r--testsuite/synth/issue8/test5.vhdl15
1 files changed, 0 insertions, 15 deletions
diff --git a/testsuite/synth/issue8/test5.vhdl b/testsuite/synth/issue8/test5.vhdl
deleted file mode 100644
index 0d1fbc0e5..000000000
--- a/testsuite/synth/issue8/test5.vhdl
+++ /dev/null
@@ -1,15 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity test5 is
- port (led: out std_logic_vector (7 downto 0));
-end test5;
-
-architecture synth of test5 is
-
-begin
- led(7) <= '1';
--- led(6) <= '1';
--- led(5) <= '0';
--- led(3 downto 0) <= x"9";
-end synth;