diff options
Diffstat (limited to 'testsuite/synth/psl02/assert2.vhdl')
-rw-r--r-- | testsuite/synth/psl02/assert2.vhdl | 4 |
1 files changed, 4 insertions, 0 deletions
diff --git a/testsuite/synth/psl02/assert2.vhdl b/testsuite/synth/psl02/assert2.vhdl index 0286470c8..9b5c351f7 100644 --- a/testsuite/synth/psl02/assert2.vhdl +++ b/testsuite/synth/psl02/assert2.vhdl @@ -9,6 +9,10 @@ end assert2; architecture behav of assert2 is signal val : unsigned (3 downto 0); + function incr (a : integer) return integer is + begin + return a + 1; + end function incr; begin process(clk) begin |