aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/generic_model.ams
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/generic_model.ams')
-rw-r--r--testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/generic_model.ams4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/generic_model.ams b/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/generic_model.ams
index eb636b540..e0427eb5c 100644
--- a/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/generic_model.ams
+++ b/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/generic_model.ams
@@ -112,7 +112,7 @@ BEGIN
testbench:PROCESS
VARIABLE outline : LINE;
- VARIABLE Headline : string(1 TO 8) :=
+ VARIABLE Headline : string(1 TO 7) :=
"time y ";
VARIABLE seperator : string(1 TO 1) := " ";
VARIABLE flag : bit := '0';
@@ -123,7 +123,7 @@ BEGIN
WRITE(outline,Headline);
WRITELINE(outfile,outline);
ELSE
- WRITE(outline, now);
+ WRITE(outline, time'(now));
WRITE(outline,seperator);
WRITE(outline,y);
WRITE(outline,seperator);