aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_1.ams
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_1.ams')
-rw-r--r--testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_1.ams4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_1.ams b/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_1.ams
index 10fd3bbeb..c2be9b915 100644
--- a/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_1.ams
+++ b/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_1.ams
@@ -61,7 +61,7 @@ end process;
testbench:PROCESS
VARIABLE outline : LINE;
- VARIABLE Headline : string(1 TO 8) :=
+ VARIABLE Headline : string(1 TO 6) :=
"time y";
VARIABLE seperator : string(1 TO 1) := " ";
VARIABLE flag : bit := '0';
@@ -72,7 +72,7 @@ end process;
WRITE(outline,Headline);
WRITELINE(outfile,outline);
ELSE
- WRITE(outline, now);
+ WRITE(outline, time'(now));
WRITE(outline,seperator);
WRITE(outline,y);
WRITE(outline,seperator);