aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_2.ams
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_2.ams')
-rw-r--r--testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_2.ams4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_2.ams b/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_2.ams
index dd80c233e..89b3a0100 100644
--- a/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_2.ams
+++ b/testsuite/vests/vhdl-ams/ad-hoc/fromUC/interface_models/mixed_model_2.ams
@@ -111,7 +111,7 @@ BEGIN
testbench:PROCESS
VARIABLE outline : LINE;
- VARIABLE Headline : string(1 TO 8) :=
+ VARIABLE Headline : string(1 TO 6) :=
"time y";
VARIABLE seperator : string(1 TO 1) := " ";
VARIABLE flag : bit := '0';
@@ -122,7 +122,7 @@ BEGIN
WRITE(outline,Headline);
WRITELINE(outfile,outline);
ELSE
- WRITE(outline, now);
+ WRITE(outline, time'(now));
WRITE(outline,seperator);
WRITE(outline,y);
WRITE(outline,seperator);