aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue472/test.vhdl14
-rwxr-xr-xtestsuite/gna/issue472/testsuite.sh9
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue472/test.vhdl b/testsuite/gna/issue472/test.vhdl
new file mode 100644
index 000000000..9f196078b
--- /dev/null
+++ b/testsuite/gna/issue472/test.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity test is
+ port (
+ input : boolean
+ );
+end test;
+
+architecture behaviour of test is
+ signal foo : std_logic;
+begin
+ foo <= "0" when input else "1"; -- Note: Should be '0' and '1' instead
+end behaviour;
diff --git a/testsuite/gna/issue472/testsuite.sh b/testsuite/gna/issue472/testsuite.sh
new file mode 100755
index 000000000..8e20eb85f
--- /dev/null
+++ b/testsuite/gna/issue472/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure test.vhdl
+
+clean
+
+echo "Test successful"