aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/evaluation.adb
Commit message (Expand)AuthorAgeFilesLines
* evaluation: rename Get_Info to Get_Str_Info (reduce overloading).Tristan Gingold2017-12-081-5/+4
* Rename of Iir_Kinds_Library_UnitTristan Gingold2017-12-011-1/+1
* Use flist for enumerations.Tristan Gingold2017-11-071-9/+9
* Use Flist for simple_aggregate.Tristan Gingold2017-11-071-53/+67
* Use Flist for array indexes.Tristan Gingold2017-11-061-16/+15
* Use grt.fcvt for 'image.Tristan Gingold2017-04-191-74/+5
* Eval_Array_Attribute: Handle 'Subtype.Tristan Gingold2017-02-241-1/+2
* evaluation: add comment for fp exponentiationTristan Gingold2017-02-241-0/+10
* path_name/instance_name: handle protected types.Tristan Gingold2017-02-231-1/+10
* eval_is_in_bound: make it more tolerant.Tristan Gingold2017-01-191-10/+39
* evaluation: work-around gnatgpl 2014 bug.Tristan Gingold2017-01-191-3/+6
* evaluation: handle null array in aggregate_to_simple_aggregateTristan Gingold2017-01-181-1/+1
* evaluation: handle vector minimum and maximum.Tristan Gingold2017-01-181-4/+87
* vhdl08: implement 'subtype attributeTristan Gingold2017-01-131-1/+3
* iirs: renames Iir_Kinds_Scalar_Type_DefinitionTristan Gingold2017-01-021-6/+9
* evaluation: create sring_utils package.Tristan Gingold2016-12-291-35/+24
* Fixes for nodes_gcTristan Gingold2016-12-241-1/+1
* Build all Static_Construct aggregate statically.Tristan Gingold2016-12-231-29/+55
* Don't evaluate qualified aggregate.Tristan Gingold2016-12-221-1/+2
* evaluation: handle multiple choices.Tristan Gingold2016-12-211-5/+17
* evaluation: tune evaluation of composite values.Tristan Gingold2016-12-201-4/+133
* Evaluation: handle array aggregate and indexed names.Tristan Gingold2016-12-191-31/+306
* vhdl2008: evaluate static record aggregate.Tristan Gingold2016-12-171-1/+46
* ownership: fix arrays and physical types from parse.Tristan Gingold2016-10-181-4/+6
* eval_type_conversion: free unused intermediate result in case of overflow.Tristan Gingold2016-10-131-12/+14
* evaluation: free evaluated operand for tf_array_not.Tristan Gingold2016-10-131-2/+4
* Rework AST to setup ownership and reference policy.Tristan Gingold2016-10-111-27/+46
* Consider object for array attribute.Tristan Gingold2016-10-011-1/+2
* Instance_Path: handle nested packages and package instantiation.Tristan Gingold2016-09-301-4/+9
* Rework range_expression and incomplete type for instantiation.Tristan Gingold2016-09-301-10/+14
* Add Eval_Attribute_Parameter_Or_1Tristan Gingold2016-09-301-4/+19
* Add an analysis time check for bounds on port association.Tristan Gingold2016-09-241-0/+20
* vhdl08: create interface subprogram declaration.Tristan Gingold2016-09-191-4/+0
* vhdl08: parse and analyze interface types.Tristan Gingold2016-09-011-0/+4
* evaluation: factorize code, rewrite an error message.Tristan Gingold2016-08-251-12/+4
* Rewrite most of error and warning messages.Tristan Gingold2016-08-021-3/+3
* Rewrite error messages.Tristan Gingold2016-08-021-4/+4
* Rewrite scan error messages: use formatting.Tristan Gingold2016-08-021-24/+25
* Rework warnings to have a uniq tag per warning.Tristan Gingold2016-08-011-14/+22
* vhdl2008: implement new 'use clause' rules for types.Tristan Gingold2016-05-311-17/+0
* simul: handle generic override.Tristan Gingold2016-02-101-20/+2
* evaluation: handle whitespace for 'value.Tristan Gingold2016-02-101-0/+38
* build_enumeration_value: correctly handle characters.Tristan Gingold2016-02-101-12/+22
* Fix crash for access type in aggregate.Tristan Gingold2015-09-241-0/+4
* Improve code generation of strings.Tristan Gingold2015-06-271-7/+68
* evaluation: handle fp_literal in build_constant.Tristan Gingold2015-06-091-1/+2
* Fix crash in assert translation for overflow literal.Tristan Gingold2015-05-201-1/+2
* Fix staticness of array attributes.Tristan Gingold2015-05-201-5/+2
* Array attribute: handle non-static function call prefix.Tristan Gingold2015-05-191-1/+2
* type_conversion: use constraint_state instead of kind.Tristan Gingold2015-05-151-34/+31