aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/iirs.adb
Commit message (Expand)AuthorAgeFilesLines
* iirs: add base_element_declaration to record_element_constraint.Tristan Gingold2017-01-231-2/+2
* vhdl08: implement 'subtype attributeTristan Gingold2017-01-131-0/+2
* Remove Get/Set_Value_Staticness, add Get/Set_Aggregate_Expand_FlagTristan Gingold2016-12-201-15/+14
* iirs: reorder Iir_Kind_Choice_*, add Get_Literal_Origin for aggregate.Tristan Gingold2016-12-181-4/+4
* cleanup: remove Package_Instantiation_Bodies_ChainTristan Gingold2016-12-051-17/+0
* sem_assocs: handle overloaded operators for interface_functionTristan Gingold2016-12-051-4/+4
* ownership: check tree after sem and canon.Tristan Gingold2016-11-051-7/+7
* vhdl08: allow unaffected in sequential signal assignments.Tristan Gingold2016-11-011-0/+1
* Add translation for selected signal assignment.Tristan Gingold2016-11-011-0/+1
* Remove default_*_map_aspect from binding_indication.Tristan Gingold2016-10-201-33/+0
* ownership: fix arrays and physical types from parse.Tristan Gingold2016-10-181-20/+4
* iirs: add an assert on Get_Kind.Tristan Gingold2016-10-181-3/+4
* nodes: remove Int and Fp formats, use multiple fields.Tristan Gingold2016-10-151-22/+51
* nodes: handle 'grp' conversion, replace Odigit1 by flags.Tristan Gingold2016-10-151-10/+54
* iirs: rename base_type to number_base_type to avoid confusion.Tristan Gingold2016-10-131-4/+4
* Rework AST to setup ownership and reference policy.Tristan Gingold2016-10-111-14/+127
* Add signal_attribute_declaration to hold implicit atribute signals.Tristan Gingold2016-10-081-0/+49
* disp_vhdl: print 'parameter' if textually present.Tristan Gingold2016-10-051-0/+16
* Rework range_expression and incomplete type for instantiation.Tristan Gingold2016-09-301-16/+81
* Comments in iirs.adsTristan Gingold2016-09-281-2/+2
* vhdl08: more support for interface subprograms.Tristan Gingold2016-09-271-0/+16
* vhdl08: first working example of interface type.Tristan Gingold2016-09-221-0/+16
* iirs: add association_element_subprogramTristan Gingold2016-09-201-0/+1
* vhdl08: create interface subprogram declaration.Tristan Gingold2016-09-191-0/+2
* vhdl08: preliminary work for package body instantiation.Tristan Gingold2016-09-181-16/+33
* Handle macro-expansion of instantiation of declaration with interface type.Tristan Gingold2016-09-161-0/+32
* iirs: add Iir_Kinds_Simple_Concurrent_StatementTristan Gingold2016-09-121-1/+6
* vhdl08: preliminary work for nested package and type association.Tristan Gingold2016-09-011-9/+10
* vhdl08: parse and analyze interface types.Tristan Gingold2016-09-011-0/+18
* vhdl08: add support of case-generate statementTristan Gingold2016-07-071-1/+17
* Parse case generate statement.Tristan Gingold2016-07-051-0/+1
* Initial support of direct recursive instantiation.Tristan Gingold2016-07-031-0/+32
* Remove Get/Set_Type_ReferenceTristan Gingold2016-06-011-16/+0
* vhdl2008: implement new 'use clause' rules for types.Tristan Gingold2016-05-311-0/+16
* Create psl_endpoint_declaration.Tristan Gingold2016-03-201-2/+3
* psl: cover directive works on a sequence, not on a property.Tristan Gingold2016-02-141-0/+16
* PSL: move canon code to canon.adbTristan Gingold2016-02-141-0/+48
* Add support for conditional assignments.Tristan Gingold2016-01-161-3/+29
* Set type staticness for a constant declaration and attribute specification.Tristan Gingold2016-01-111-2/+2
* Translate: explicitly clean transient types.Tristan Gingold2015-09-021-0/+16
* Add suspend_flag.Tristan Gingold2015-06-071-0/+16
* Rework of overload resolution in implicit conversions.Tristan Gingold2015-05-261-16/+0
* Preliminary work to support external names (parse, semantic)Tristan Gingold2015-05-231-0/+55
* Give priority to function calls without implicit conversion.Tristan Gingold2015-05-161-0/+16
* Change library format: now store file checksum instead of file time stamp.Tristan Gingold2015-05-131-10/+16
* Add context declaration and reference (vhdl 2008).Tristan Gingold2015-05-121-2/+20
* vhdl2008: handle expanded names in if-generate statements.Tristan Gingold2015-01-121-584/+1164
* vhdl08: forbid simple block_specification for labeled if-generate statement.Tristan Gingold2015-01-101-0/+14
* Initial rework for vhdl 2008 generate statements.Tristan Gingold2015-01-031-39/+84
* vhdl 2008: handle sized bit strings.Tristan Gingold2014-12-301-2/+44