blob: e7ee72400d6e0a0fa89de9298c0007b2e6781360 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
|
all:
rm -rf work
mkdir work
ghdl -a --work=work --workdir=work ../capitalisation/capitalisation.vhd
ghdl -a --work=work --workdir=work tb_file.vhd
ghdl -e --ieee=synopsys -fexplicit --workdir=work -Pwork tb_file
ghdl -r tb_file --wave=tbench.ghw --stop-time=200us
view:
gtkwave tbench.ghw a.gtkw
|