aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100/notype1.vhdl
blob: 009354b36eacda89d3dc6abaca768018bcfc39c4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity sub1 is
  port (i : bit_vector);
end;

entity notype1 is
end;

architecture behav of notype1 is
  type counter_t is array (2 downto 0) of (31 downto 0);
  signal cnts : counter_t;
begin
  i : entity work.sub1
    port map (i => cnts (0));
end;