aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100/notype2.vhdl
blob: 5763e435fcf889374d0348bcc19c74e1e7e98577 (plain)
1
2
3
4
5
6
7
8
entity notype2 is
end;

architecture behav of notype2 is
  signal s : out bit_vector(7 downto 0);
begin
  s (1) <= '0';
end behav;