aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0110/tb.vhdl
blob: c62c445454f344a1cd46f0ddcedab4b5169121d0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
package pkg is
  type my_rec is record
    adr : bit_vector (7 downto 0);
  end record;
end pkg;

use work.pkg.all;

entity ent is
  port (v : out my_rec;
        b : in bit);
end ent;

architecture behav of ent is
begin
  v.adr <= (others => b);
end behav;

entity top is
end top;

use work.pkg.all;
architecture behav of top is
  signal s : bit_vector (7 downto 0);
  signal b : bit;
begin
  dut : entity work.ent
    port map (
      -- ERROR: missing 1 downto 0!
      v.adr (3 downto 2) => s (3 downto 2),
      v.adr (7 downto 4) => s (7 downto 4),
      b => b);
  b <= '0';
end behav;