aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0110/tb2.vhdl
blob: b0c54eeb9ba0198470ee7d4e5de02a5fc7154840 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity ent2 is
  port (v : out bit_vector (7 downto 0);
        b : in bit);
end ent2;

architecture behav of ent2 is
begin
  v <= (others => b);
end behav;

entity top2 is
end top2;

architecture behav of top2 is
  signal s : bit_vector (7 downto 0);
  signal b : bit;
begin
  dut : entity work.ent2
    port map (
      -- ERROR: missing 1 downto 0!
      v (3 downto 2) => s (3 downto 2),
      v (7 downto 4) => s (7 downto 4),
      b => b);
  b <= '0';
end behav;