aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0117/repro3.vhdl
blob: a17e8284306f5ae6160c31401e0855f35f6c9f2f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity repro3 is
end;

architecture behav of repro3 is
  type rec1 is record
    wr : bit;
    dat : bit_vector(7 downto 0);
  end record;

  signal s : rec1;
begin
  s <= ('0', x"01");
end behav;