aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug016/pkg.vhdl
blob: 21c115a31cc1b4320a0dd62fa672266bb3e720ee (plain)
1
2
package pkg is
end pkg;