aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug016/repro.vhdl
blob: d82cc58573871b991ecc895b9dee6cc9d4228668 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity repro is
end repro;

architecture behav of repro is
  component comp is
    port (s : bit);
  end component;
  signal s : bit;
begin
  c : comp port map (s);
end behav;

entity comp is
  port (s : bit);
end comp;

configuration conf of repro is
  for behav
   for c : comp
     use entity work.compx (behav);
   end for;
  end for;
end conf;

architecture behav of comp is
begin
  assert s = '1';
end behav;