aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug016/repro1.vhdl
blob: 65530796807dcf19c7c40af68856da36be1a671e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity repro is
end repro;

entity comp is
  port (s : bit);
end comp;

architecture behav of repro is
  component comp is
    port (s : bit);
  end component;
  signal s : bit;
begin
  c : comp port map (s);
end behav;

use work.pkg.all;
entity comp2 is
  port (s : bit);
end comp2;

architecture behav of comp is
  component comp2 is
    port (s : bit);
  end component;
begin
  c2: comp2 port map (s);
end behav;