aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/call10.vhdl
blob: a115b053d185b088bc140bd7ae14ee940f49e04e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity call10 is
end;

architecture behav of call10 is
  procedure check2 (msg : string) is
  begin
     assert msg = "checking: abcedfghijklmnopqrstuvwxyz"
        severity failure;
     report "SUCCESS" severity note;
  end check2;
  
  procedure check1 (msg : string) is
  begin
    check2 ("checking: " & msg);
  end check1;
begin
   process
   begin
      check1 ("abcedfghijklmnopqrstuvwxyz");
      wait;
   end process;
end behav;