aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/call2.vhdl
blob: 82467b42ea46ef77bba4abf2809137e1769e3684 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity call2 is
end;

architecture behav of call2 is
  procedure p (n : natural) is
  begin
    for i in 1 to n loop
      report "hello";
      wait for 1 ns;
    end loop;
  end p;
begin
  process
  begin
    p (5);
    report "SUCCESS";
    wait;
  end process;
 
end behav;