aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/call4.vhdl
blob: e2d600c26f383d64ac293d0292e8609b4def7f79 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity call4 is
end;

architecture behav of call4 is
  procedure p (n : natural) is
    procedure p2 (m : natural) is
    begin
      for i in 1 to m loop
        report "hello 2";
        wait for 1 ns;
      end loop;
    end p2;
  begin
    for i in 1 to n loop
      p2 (i);
      report "hello 1";
      wait for 1 ns;
    end loop;
  end p;
begin
  process
  begin
    p (5);
    report "SUCCESS";
    wait;
  end process;
 
end behav;