aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/call6.vhdl
blob: c52b08b6e105369de9028fe79e45443f059a5570 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity call6 is
end;

architecture behav of call6 is
  procedure check (s : string) is
  begin
    wait for 1 ns;
    assert s (2) = 'a';
  end;
begin
  process
    variable v : integer := 2;
  begin
    check ("bac");
    wait for 2 ns;
    check ((1 => 'e', 2 => 'a', 3 => 'c'));
    report "SUCCESS";
    wait;
  end process;
 
end behav;