aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/call6a.vhdl
blob: 098198ed1224462defc5fcec1780958a1b7a8a46 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity call6a is
end;

architecture behav of call6a is
  procedure check (s : string) is
  begin
    wait for 1 ns;
    assert s (2) = 'a';
  end;
begin
  process
    variable c : character := 'a';
  begin
    check ("bac");
    wait for 2 ns;
    check ((1 => 'e', 2 => c, 3 => 'c'));
    report "SUCCESS";
    wait;
  end process;
 
end behav;