aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/call7.vhdl
blob: 54bcd052c9ae93bab62170e159c34044c4d96ea2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity call7 is
end;

architecture behav of call7 is
  procedure check (s : string) is
  begin
    wait for 1 ns;
    assert s (2) = 'a';
  end;
  function get_str
    return string is
  begin
    return "xaz";
  end get_str;
begin
  process
  begin
    check ("bac");
    wait for 2 ns;
    check (get_str);
    report "SUCCESS" severity note;
    wait;
  end process;
 
end behav;