aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/case1.vhdl
blob: 214cbf7ed7ef6cca37071f1595099016835052d0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
entity case1 is
end;

architecture behav of case1 is
begin
  process
  begin
    for i in 1 to 10 loop
      case i is
        when 1 =>
          report "one";
          wait for 1 ns;
        when 2 =>
          report "two";
          wait for 2 ns;
        when 3 =>
          report "three";
          wait for 3 ns;
        when 4 to 9 =>
          report "a big digit";
          wait for 5 ns;
        when others =>
          report "a number";            -- including 0.
          wait for 10 ns;
      end case;
    end loop;
    report "SUCCESS";
    wait;
  end process;
 
end behav;