aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/wait3.vhdl
blob: 77599ba256811617b6114e46f1dd01180f482ca2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
entity wait3 is
end;

architecture behav of wait3 is
  signal t : natural;
begin
  process
  begin
    report "hello";
    wait on t;
    report "hello2";
    wait for 0 ns;
    report "SUCCESS";
    wait;
  end process;
 
  t <= 1 after 2 ns, 2 after 4 ns;
end behav;