aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug018/repro1.vhdl
blob: 05fa363b732cd4231afe2e3d5ae602d004113ce1 (plain)
1
2
3
4
5
6
7
8
9
ENTITY repro1_ent IS
port(	S 	: inout string 	:= "abcdef");
END repro1_ent;

ARCHITECTURE repro1_arch OF repro1_ent IS
	constant C 	: string 	:= "abcdef";
BEGIN
  assert S = C;
END repro1_arch;