aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug02/repro.vhdl
blob: 317d6c43f93d126ebf2c3d4133d66716fd9fc139 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity repro is
  
end repro;

architecture behav of repro is
  function inc (a : integer) return integer is
  begin
    return a + 1;
  end inc;

  function inc (a : time) return time is
  begin
    return a + 1 ns;
  end inc;

  procedure inc (a : inout integer) is
  begin
    a := inc (a);
  end inc;
begin  -- behav

  process
    variable a : integer := 2;
  begin
    inc (a);
    assert a = 3 report "bad value of a";
    wait;
  end process;
end behav;