aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug021/makefile
blob: de9ee8f4bce61d3072a63571eb3e9460dfd0011f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
all:


	rm -rf work
	mkdir work

		
	ghdl -a  --work=work --workdir=work sim_pkg.vhd
	gcc -c -fPIC sim.c -o sim.o
	
	
        
	ghdl -a  --work=work --workdir=work tb_cosim.vhd


	ghdl -e  -Wl,sim.o --ieee=synopsys -fexplicit --workdir=work -Pwork tb_cosim

#	ghdl -r tb_lm_cpu  
	ghdl -r tb_cosim  --wave=tbencha.ghw --stop-time=100ns
#	ghdl -r tbench --disp-tree=inst --wave=tbench.ghw 

#	ghdl -r tb_lm_cpu  


view:
	gtkwave tbencha.ghw a.sav


post:
	rm -rf work
	mkdir work
	ghdl -i ../ise601/netgen/synthesis/MAIS_soc_synthesis.vhd
	ghdl -i ../rtl/tb_MAIS.vhd
	ghdl -i --work=unisim /opt/Xilinx/14.5/ISE_DS/ISE/vhdl/src/unisims/*.vhd
	ghdl -i --work=unisim /opt/Xilinx/14.5/ISE_DS/ISE/vhdl/src/unisims/primitive/*.vhd



	ghdl -m  -g  -Punisim -Psimprim --warn-unused --ieee=synopsys -fexplicit  tb_lm_cpuvi
	ghdl -r tb_lm_cpu --disp-tree=inst --wave=tbench.ghw --stop-time=50us