aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug022/test.vhdl
blob: ba696cfcd6c953bf9b65fa3ca4455d1961832c66 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity test is
end entity test;

entity internal is
end entity internal;
architecture arch of internal is begin
end architecture arch;

entity internal_bis is
end entity internal_bis;
architecture arch of internal_bis is begin
end architecture arch;

architecture first of test is begin
  test_instantiation : entity work.internal;
end architecture first;

architecture second of test is begin
  test_instantiation : entity work.internal_bis;
end architecture second;