aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug026/x.vhd
blob: a123e86dbb737c2946628b00bb140b677fd56f1c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
--library ieee;
--use ieee.std_logic_1164.all;

package dosomething is

  type dosomething_t is record
                       dummy1 : integer;
                       dummy2 : integer;
                       dummy3 : integer;
                     end record;

  procedure dosomething_hello (
    variable r : inout dosomething_t);

end dosomething;