aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug040/cmp_788.vhd
blob: 0f7853e7a92c91746dab1bcecc40d3e3486dc2ba (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity cmp_788 is
	port (
		eq : out std_logic;
		in1 : in  std_logic_vector(2 downto 0);
		in0 : in  std_logic_vector(2 downto 0)
	);
end cmp_788;

architecture augh of cmp_788 is

	signal tmp : std_logic;

begin

	-- Compute the result
	tmp <=
		'0' when in1 /= in0 else
		'1';

	-- Set the outputs
	eq <= tmp;

end architecture;