aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug041/foo.vhdl
blob: 3d12760b2b85f61f569dedbf1f15f6fd30828bb8 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity foo is
end entity;

architecture fum of foo is
    signal a:  bit_vector (1 to 1);
    signal b:  bit_vector (1 to 1);
begin
    
    a(1 to 1) <= b(1);
    
end architecture;