aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug044/aggr1.vhdl
blob: 1027fe73a46ab062a2488e555e02521d17c337fb (plain)
1
2
3
4
5
6
7
8
9
10
11
entity aggr1 is
end aggr1;

architecture behav of aggr1 is
  procedure proc (b, c : out bit_vector) is
  begin
    b := (others => '0');
    c := ('1', others => '0');
  end proc;
begin
end behav;