aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug051/tb.vhdl
blob: a2ed492726f713f8afdc0654c2112684645fd213 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity tb is
end tb;

architecture behav of tb is
  signal s : bit;
begin
 postponed assert s = '0' severity failure;
 process
 begin
   s <= '1';
   wait for 0 ns;
   s <= '0';
   wait;
 end process;
end behav;